modelsim

    -4热度

    1回答

    我不断收到此消息 - “#编译1stfile.vhd失败,出现0错误。”每当我试图编译我的文件“1stfile.vhd”时,我该怎么办?

    1热度

    1回答

    我是一名学生,负责构建并测试使用VHDL的完整加法器,以用于将来的作业。它几天前完美工作,但我今天尝试再次模拟(在不同的计算机上),现在我的所有输入和输出都未定义。我正在使用Modelsim SE-64 10.1c。 全加 library IEEE; use IEEE.STD_LOGIC_1164.all; entity FullAdder is port (A, B, Cin

    0热度

    3回答

    我一直在写一个交通灯控制器的状态机。 -- Ampelsteuerung mit Zähler und FSM Componente library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity AMPLSTRG is

    1热度

    2回答

    源代码: module SingleOneBit(N,T); parameter integer w; //width or number of inputs N input wire [w-1:0] N; output wire T; wire[w*(w-1):0] N1; //for anding all possible combinations of 2 bits wire

    0热度

    1回答

    为了实现我的VHDL代码什么我目前做: tmpOutput <= "UUUUUUUU"; 的是,被视为完全出问题了吗?另外,这可能会在综合设计时带来问题吗? 非常感谢!

    -5热度

    1回答

    module MyProject(A,B,k,right,F); input [31:0]A; input [31:0]B; input [4:0]k; input right; output reg [31:0]F; reg [31:0]F1; integer i,j; initial begin

    0热度

    1回答

    我在写一个顺序计数器,它由一系列使用D触发器组件的单计数器组件组成。在单个计数器中,我需要从的初始值q开始,但我在初始化时遇到问题。 q是STD_LOGIC信号,我试图按如下初始化: signal q : STD_LOGIC := '0'; 然而,当我运行使用的ModelSim程序它显示的U一个值,好象它是未分配的。如果我在ModelSim中运行时强制冻结'0'到q的信号,那么它就起作用。 是

    1热度

    2回答

    我正在创建一个新的项目,我称之为alpha,然后创建一个新文件test.vhd。 library ieee; use ieee.std_logic_1164.all; entity d_latch is port( data_in:in std_logic; data_out:out std_logic; enable:in std_logic); end d_latch; ar

    0热度

    1回答

    我不明白为什么我的编译器抱怨OUT的所有赋值语句。这里是我的代码: `include "prj_definition.v" module ALU(OUT, ZERO, OP1, OP2, OPRN); // input list input [`DATA_INDEX_LIMIT:0] OP1; // operand 1 input [`DATA_INDEX_LIMIT:0] OP2; //

    0热度

    1回答

    我做了一个样本ALU以及一些测试平台代码。但由于某种原因,我的ALU总是返回一个“Z”作为结果。有人可以帮我吗? 这里是ALU: `include "prj_definition.v" module ALU(OUT, ZERO, OP1, OP2, OPRN); // input list input [`DATA_INDEX_LIMIT:0] OP1; // operand 1 inpu