modelsim

    -1热度

    1回答

    我设计并测试了我的VHDL代码。我使用ISIM(xilinx仿真器)来测试代码。 ISIM是越野车,所以我切换到modelsim SE 10c。 当我运行通过的赛灵思ISE的ModelSim我获得以下的ModelSim的错误 致命:(VSIM-3421)值-14超出范围-7〜7 我相关的VHDL代码是 库IEEE; 使用IEEE.STD_LOGIC_1164.ALL; 使用IEEE.NUMERIC

    0热度

    1回答

    我必须用VHDL编写程序,在屏幕上显示0-9的数字,暂停1秒(基本上时钟0-9),此外,必须检查ModelSim,这对我来说更加困难。我知道我不应该要求这样的帮助,但我只需要它到我的大学。 我是vhdl的初学者,我从来没有学过它,所以任何线索/解决方案都会很好。 我知道我必须使用某事像这样: LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee

    2热度

    1回答

    我使用的ModelSim做模拟这些天,有问题来找我,那就是: 而且thers是这样一块Verilog代码: if (cnt == `END_CNT) ... reg [7:0] cnt; always @(posedge clk) if (en) cnt <= cnt +1; ... 这意味着我将reg定义到分配块,并且我可以在定义之前使用该变量。这是我的编码风格

    3热度

    2回答

    我在使用ModelSim Student Edition 10.2c运行Verilog项目时遇到了问题。编译没有错误,但是我在运行时出现以下错误: # vsim -gui work.testbench # Loading work.testbench # Loading work.circuit1_assign # ** Error: (vsim-3033) C:/Modeltech_pe_

    0热度

    1回答

    我正面临有关Modelsim的问题。我无法在仿真中加载我的测试台。以下是我的测试平台和代码 测试平台 library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; library work; use work.pack1.all; entity test_dg is end entity;

    7热度

    2回答

    下面是我正在运行的代码。我的问题是为什么不在modelsim中触发3rd wait until?控制台输出只是GOT HERE。它永远不会到达线GOT HERE 2。我认为连续两次使用相同的wait until <SIGNAL> = 1就没有问题,因为这两种情况都是正确的。我没有在那里添加'事件,所以我不认为模拟器需要看到边缘。谁能解释这种行为? library ieee; use ieee.s

    0热度

    1回答

    因此,本实验的目的是模拟ModelSim中的模块代码,以显示计时器使用测试工作台(我无法改变)工作。当我模拟时,只有时钟波形发生变化,并且我所有的十六进制显示都始终为0b1000000。有人可以帮我找到为什么计时器不运行? CODE: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ie

    1热度

    1回答

    我正在使用tcl脚本运行modelsim模拟,我想关闭除了我自己的“puts”语句之外的所有modelsim回声。 一个在我的TCL脚本循环运行命令:eval vsim $vsim_opt work.my_top_level.vhd但这会导致ModelSim的呼应VSIM命令.. 我也试着运行:quietly eval quietly vsim $vsim_opt work.my_top_leve

    0热度

    2回答

    我正在尝试编写一个VHDL包来创建一个外部名称列表,以便在我的测试平台中使用它们。我不确定是否可以在包中声明外部名称,但编译器不会引发错误。 但是如果我试图模仿我采用ModelSim 10.0b试验台我通过加载设计得到一个错误: 不能引用信号......它已经制定了。 虽然编译顺序是正确的,但我猜想在模拟启动过程中加载顺序中存在问题。所有包都在设计加载之前加载。是否有机会稍后强制ModelSim加

    1热度

    1回答

    当调用vsim命令时,如何让ModelSim自动使用顶级VHDL实体(或多个实体)?我正在编写运行VHDL仿真的通用脚本。 目前我做以下进行编译和仿真: vcom design.vhd testbench.vhd vsim -c -do "onElabError resume; run -all; exit" MY_TB 我怎样才能让这个自动的ModelSim仿真MY_TB没有明确指定它。