2017-04-15 87 views
-2
library IEEE; 
use IEEE.STD_LOGIC_1164.ALL; 
use IEEE.STD_LOGIC_ARITH.ALL; 
use IEEE.STD_LOGIC_UNSIGNED.ALL; 
entity TopLevel is 
Port (reset : in std_logic; 
clock : in std_logic; 
coin : in std_logic; 
push : in std_logic; 
count1 : out std_logic_vector(15 downto 0) 

); 
end TopLevel; 
architecture Modular of TurnstileDetector is 
signal unlock : std_logic; 
begin 
controller: entity TurnstileDetectorController 
Port map (reset => reset, 
clock => clock, 
coin => coin, 
push => push, 
unlock => unlock 
); 
counter: entity work.counter 
Port map (reset => reset, 
clock => clock, 
cen => unlock, 
q => count1 
); 
end architecture Modular; 

错误顶层VHDL: **错误:(VCOM-11)找不到work.turnstiledetector。错误:上的ModelSim

**错误:C:/Modeltech_pe_edu_10.4a/examples/TopLevel.vhd(14):VHDL编译器退出

+0

错误如何能够解决? – Bekbol

+2

欢迎使用StackOverflow。为了帮助你,你应该提出一个明确的问题。更多信息可以在这里找到(http://stackoverflow.com/help/how-to-ask)。并且请发布[最小,完整和可验证示例](http://stackoverflow.com/help/mcve),以便我们可以重现该问题。 – JHBonarius

回答

1

您需要更改architecture Modular of TurnstileDetector isarchitecture Modular of TopLevel is