2017-09-02 108 views
-1

我必须使用Cadence程序套件来完成Verilog类的分配,并且我想知道为什么我创建的非常简单的模拟测试台中的$display语句没有在SimVision控制台窗口中产生输出。

我的工作流程是这样的: 我已经创建了以下功能视图中的单元格:

module tesbench (); 
    initial begin 
    $display("RUNNING TESTBENCH"); 
    $finish; 
    end 
endmodule 

我已经然后调用NC-Verilog的,初始化和网表和模拟这将打开SimVision控制台 细胞窗口。运行仿真然后产生以下输出:

ncsim> run 
Simulation complete via $finish(1) at time 0 FS + 0 
/home/path/to/verilog/file.v:4  $finish; 
ncsim> 

因此$display输出未显示。这似乎是一个非常简单的问题,但我不能为了我的生活找出我做错了什么。

+0

也许这是一个竞争条件,因为$ display和$ function同时发生(0)。在$ display之后添加一个延迟,就像'#1;' – toolic

+0

这并没有解决问题,我对Verilog和Cadence都很陌生,所以我不确定这是否甚至是代码问题,或者如果我是不正确使用程序套件。 – Peter

+0

因此,这对SimVision来说“适用于我”。您使用的是什么版本,以及如何调用该工具? –

回答

0

好吧,所以我刚刚能够和一位讲师说话,他告诉我,这是一个已知的安装问题,目前没有解决方法(程序正在服务器上运行大学)。