cadence-virtuoso

    0热度

    1回答

    我有一个很大的混合信号设计,有363个引脚。 Layout XL知道引脚的位置(当我拖动它时,绿线将每个引脚连接到正确的位置)。 我的问题是:我怎样才能避免花一周时间做这么繁琐的活动,并使引脚放置自动?我一直都是手动完成的,但这次设计太大了。必须有一种方法来运行脚本,或从某个菜单发出命令,以节省时间和精力。

    2热度

    1回答

    我想创建一个python脚本,它将允许一些与Cadence技能(命令行界面)接口。我想要将任何输出导向到shell。我觉得这应该很简单,但我无法让它工作。但是,使用Popen时,我在命令行上看不到任何输出,并且我不确定communicate()是否正确发送该命令。这是我到目前为止: import re, array import sys from subprocess import call

    0热度

    1回答

    我有多个实例连接到其中一个网络的原理图。 我需要一个技能的功能,将打印连接到此网络

    -1热度

    1回答

    我必须使用Cadence程序套件来完成Verilog类的分配,并且我想知道为什么我创建的非常简单的模拟测试台中的$display语句没有在SimVision控制台窗口中产生输出。 我的工作流程是这样的: 我已经创建了以下功能视图中的单元格: module tesbench (); initial begin $display("RUNNING TESTBENCH");

    0热度

    1回答

    我试图模拟模拟&数字仿真(Cadence的Virtuoso全版本6) 我做出Verilog代码简单的计数器,我成功检查数字仿真。 但是当我尝试使用混合信号模拟(仅使用2个用于模拟部分的Clk //复位到数字计数器的反相器链)时,我发现数字输出仅在1ns(1ns,2ns,3ns,4ns)的多个时间内发生变化 即使我让Clk期间100ps,柜台变化只有1ns,2ns,3ns。 (在Verilog仿真中