iverilog

    0热度

    1回答

    我试图做一个测试台来模拟工作顶层模块(和子模块),但是我无法让iverilog正确处理顶层输出(LED,RS232Rx和RS232Tx是物理的销) 这里是我在测试平台的尝试 module test(); initial begin $dumpfile("test.vcd"); $dumpvars(0,test); # 1024 $stop; end reg cl

    0热度

    1回答

    我在这里为我的数字逻辑类的任务挣扎。我在网上搜索了资源,但没有太多证明有用的东西。看起来,每个人都有不同的方法,而不是我们在课堂上所做的。也没有教科书,只是每周发放,这一个也不是很有帮助。我通过电子邮件发送了我的导师,但没有回复。基本上,这是我最后的希望。 我需要构建一个4路解复用器,但是,它必须先完成一个2路解复用器,然后使用其中三个创建一个四路解复用器。 这几乎是我们得到的所有指令。我们展示了

    2热度

    1回答

    我写了一个波纹进位加法器的代码。测试台也可用。我如何在Verilog代码上运行这个测试平台?我没有模拟器。我正在使用iverilog编译器。 ripple_carry_adder.v module half_adder(a,b,sum,carry); input a,b; output sum,carry; assign sum=a^b; assign ca

    0热度

    1回答

    特定类型的位级操作包括设置或清零多位值中的一个位,给定其索引及其新值。该操作可以通过具有以下接口的BitSet电路以硬件实现: 输入x是代表原始值的4位值。 输出y是一个4位值,代表修改后的值,位操作后设为 。 输入索引是一个2位值,范围从0到3,表示要修改的位的索引。 输入值是一个1位的值,设置为0或1,表示位索引在输出y中应该使用的值。 y中的每个其他位应该与x中的对应位相匹配。 下面的代码我

    0热度

    3回答

    我想在Verilog中使用D触发器创建一个4位计数器。我按照这个图http://i.imgur.com/VR5593a.png。我得到了个人D触发器的代码。我遇到的问题是D0在第一个时钟周期。我猜我必须假设Q0为1,Q1,Q2,Q3最初为0。我不知道如何在代码中只传递一次D0的初始值。 module DFlipFlop(CLK, D, Q); input CLK, D; out