digital-logic

    0热度

    1回答

    我想在VHDL中制作一个std_logic_vectors数组。该数组用于生成语句以生成桶形移位器。数组中的每个元素(数组,矢量)应该是可单独寻址的位。这是我的一些代码。 信号声明: type stage_t is array(4 downto 0) of std_logic_vector (15 downto 0); signal stages: stage_t; 在架构: test_st

    0热度

    1回答

    我有一个8位输入A和3位输入n。我想移的n次向左或向右但是这个代码似乎不工作(输出X): w = A << n; 但是当我把像2而不是n为整数,代码工作不问题。那么如何将n转换为一个整数值,以便移位操作可以毫无问题地工作?

    1热度

    2回答

    我有一个8位有符号变量A和一个3位值n。我想在总是声明中将运算符转移n次,但不起作用,输出为x。 reg signed [7:0] A = //something; reg [2:0] n = 3'b//something always @(A, n) begin w = 8'b0; w = A >> n; 那么,什么类型的变量移位算子需要?以及如何将n转换为该类型?

    0热度

    1回答

    任何人都可以解释在最后一步中如何简化布尔表达式吗? 原始的问题: 实现X = a'b'd' + b'cd' + A'B'C + a'cd' + abc' + ABD + bc'd + ac'd使用最少数量的2输入与非门。假设双轨输入 可用。没有门可以用作NOT。 Question Solution image

    0热度

    1回答

    我使用两个3位地址寄存器和使用两个3to8解码器的3位寄存器的交叉栏创建一个64字节RAM。这里是VHDL代码: library ieee; use ieee.std_logic_1164.all; entity ram88 is port(a : in std_logic_vector (2 downto 0); s0: in std_logic; s1:

    0热度

    1回答

    我正试图在VHDL中实现一个32位乘法器的记录逻辑。此外,输入位矢量(x_in)被重新编码,它有一个额外的输入“1”。意图是当“一”是'1'输出应该是x_in否则如果“一”是'0',它应该是两次x_in。如果“负”高,则输出必须反转。这是我的VHDL代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigne

    0热度

    2回答

    格雷码计数器中的1位变为亚稳态时会发生什么?为什么它在异步FIFO中不成问题?

    0热度

    2回答

    如果系统时钟的周期为T,然后我们如何能够延缓由1/4 T中的时钟而无需使用任何模拟装置的一小部分延迟的时钟?可综合的VHDL代码是首选。

    1热度

    1回答

    只是阅读有关AVR微控制器的USART连接和我面临这样一个问题: 什么是AVR微控制器的USART(RXC,TXC和其他内部)使用的数字逻辑? 我已经找到了答案,单片机的数据手册中这个问题(它说的逻辑是TTL),但我不能找出为什么我们使用其他逻辑转换器像MAX232将串行通讯协议改为RS232C。这是长距离串行通信所需要的吗? 最后,我们需要使用另一个MAX232到以前的转换协议转换为TTL 再次

    0热度

    1回答

    我已经创建了我的真值表,并从此绘制了一个布尔表达式(f = B'A'+ CA'+ DC'+ DB + D'CB'),然后我试图使用Quartus转换为电路。 我是新来的数字逻辑,我需要一些有经验的人谁可以告诉我,如果我试图看起来是正确的一些帮助。 我无法编译电路,因为我没有安装'设备支持'。如果任何人都可以指出我如何获得这一点的正确方向,那将不胜感激。 这是我基于布尔表达式创建的电路。 这是我的真