13
A
回答
20
级联运算符“& '允许在信号赋值运算符'< ='的右侧,只有
8
这里是级联运算符的一个示例:
architecture EXAMPLE of CONCATENATION is
signal Z_BUS : bit_vector (3 downto 0);
signal A_BIT, B_BIT, C_BIT, D_BIT : bit;
begin
Z_BUS <= A_BIT & B_BIT & C_BIT & D_BIT;
end EXAMPLE;
6
您不允许在case语句中使用连接运算符。一个可能的解决方案是在过程中使用一个变量:
process(b0,b1,b2,b3)
variable bcat : std_logic_vector(0 to 3);
begin
bcat := b0 & b1 & b2 & b3;
case bcat is
when "0000" => x <= 1;
when others => x <= 2;
end case;
end process;
相关问题
- 1. VIGNL类型的VHDL数组串联
- 2. 如何读取VHDL中的位文件?
- 3. 串联STD_LOGIC到测试平台内STD_LOGIC_VECTOR在VHDL
- 4. vhdl 4位vedic乘法器
- 5. VHDL 8位计数器
- 6. 移位溢出错误? VHDL
- 7. 8位转换器-VHDL
- 8. 循环8位移位器,VHDL
- 9. VHDL整数字符串
- 10. 级联以避免小故障? - VHDL
- 11. VHDL位旋转函数语法错误?
- 12. 4位幅度比较器VHDL
- 13. 什么是VHDL中的位向量的否定(非)
- 14. vhdl
- 15. vhdl
- 16. VHDL中的通用移位算术权利
- 17. 在FPGA中使用vhdl核DFT的相位和幅度
- 18. GSM7位填充在C#中的SMS串联
- 19. 我怎么能存储在阵列中的地位串联
- 20. VHDL:如何读取/写入RAM中的16位数据,每个地址8位
- 21. vhdl:将矢量转换为字符串
- 22. 使用VHDL中的向量
- 23. VHDL中的案例陈述
- 24. VHDL中的多维数组
- 25. 如何将字符串转换为VHDL中的整数?
- 26. 串联verilog中的奇怪
- 27. JSON:javascript中的键值串联
- 28. Elixir中的反向串联
- 29. (VHDL)在vhdl实体中输出的问题
- 30. 在VHDL/ModelSim的
它适用于变量赋值`:=`以及..见其他答案 – 2010-03-04 12:07:25