2016-11-10 74 views
2

我正在学习凿子下面的文档on GithubChisel3。功能模块Mux4

到目前为止,一切工作完美无瑕。但我卡在第13章,"Functional Module Creation"

无法让代码工作。我创建了凿模板项目的副本我所有的.scala类。下面是我写/复制与可变位宽创建MUX4:

/凿模板/ src目录/主/斯卡拉/ Mux4.scala

import Chisel._ 

class Mux4(w: Int) extends Module { 
    val io = IO(new Bundle { 
     val sel = UInt(INPUT, 2) 
     val in0 = UInt(INPUT, w) 
     val in1 = UInt(INPUT, w) 
     val in2 = UInt(INPUT, w) 
     val in3 = UInt(INPUT, w) 
     val out = UInt(OUTPUT, w) 
    }) 

    io.out := Mux2(io.sel(1), 
        Mux2(io.sel(0), io.in0, io.in1), 
        Mux2(io.sel(0), io.in2, io.in3)) 
} 


class Mux2(w: Int) extends Module { 
    val io = IO(new Bundle { 
     val sel = Bool(INPUT) 
     val in0 = UInt(INPUT, w) 
     val in1 = UInt(INPUT, w) 
     val out = UInt(OUTPUT, w) 
    }) 

    when(io.sel) { 
    io.out := io.in0 
    }.otherwise { 
    io.out := io.in1 
    } 
} 


object Mux2 { 
    def apply(sel: UInt, in0: UInt, in1: UInt): UInt = { 
    val m = new Mux2(in0.getWidth) 
    m.io.sel := sel.toBool() 
    m.io.in0 := in0 
    m.io.in1 := in1 
    m.io.out 
    } 
} 

测试仪阶i类写道:

/凿模板/ src目录/测试/斯卡拉/ Mux4Test.scala

import Chisel.iotesters.{ChiselFlatSpec, Driver, PeekPokeTester} 

class Mux4Test(c: Mux4) extends PeekPokeTester(c) { 

     val sel = 3 
     val (in0, in1, in2, in3) = (5, 7, 11, 15) 

     poke(c.io.sel, sel) 
     poke(c.io.in0, in0) 
     poke(c.io.in1, in1) 
     poke(c.io.in2, in2) 
     poke(c.io.in3, in3) 
     step(1) 
     System.out.println("Circuit: "+peek(c.io.out) 
      +" Expected: "+TestMux4.result(sel, in0, in1, in2, in3)) 
} 

object TestMux4{ 
    def result(sel: Int, in0: Int, in1: Int, in2: Int, in3: Int): Int = { 
    val out = sel match{ 
     case 0 => in3 
     case 1 => in2 
     case 2 => in1 
     case 3 => in0 
    } 
    out 
    } 
} 

class Mux4Tester extends ChiselFlatSpec { 
    behavior of "Mux4" 
    backends foreach {backend => 
    it should s"do Mux4 $backend" in { 
     Driver(() => new Mux4(4), backend)(c => new Mux4Test(c)) should be (true) 
    } 
    } 
} 

最重要的部分从输出

STEP 0 -> 1 
Circuit: 0 Expected: 5 

的MUX4类(电路)返回0作为输出,而它应该是5,这是因为选择过程如下:

00 - > IO .OUT = IN3 = 15

01 - > io.out = IN2 = 11

10 - > io.out = IN1 = 7

11 - > io.out = IN0 = 5

在Mux4Test.scala类我写VAL SEL = 3。这样做的比特表示是,因此,我期望IN0 = 5

我在哪里错了?

回答

5

感谢您对凿子的兴趣!我发现了这个问题:当你实例化一个凿子模块时,你需要确保将它包装在Module(...)(编辑:维基上的代码省略了这个包装器这已被修复)。因此,反对多工器Mux2应改为:

object Mux2 { 
    def apply(sel: UInt, in0: UInt, in1: UInt): UInt = { 
    val m = Module(new Mux2(in0.getWidth)) // <- See Here 
    m.io.sel := sel.toBool() 
    m.io.in0 := in0 
    m.io.in1 := in1 
    m.io.out 
    } 
} 

随着这一变化,它看起来像代码的工作!

+0

我也只是意识到实际维基的例子有同样的错误。我很抱歉!我马上解决它。 – jkoenig

+0

谢谢你的回答快@jkoenig。现在它工作了! – mtosch

+0

我也预解码修复,以确保此错误是夹在未来,对不起任何挫折这就造成了! – jkoenig

0

没有看过所有的代码,但我认为多工器Mux2论据是错误的顺序在这里:

多工器Mux2(io.sel(0),io.in0,io.in1)