我有一个类型不匹配错误,但所有值的类型相同std_logic。 下面是代码Vhdl类型不匹配错误
NX,NY - 泛型
IPX - 的输入端口矢量
tempx,tempz - 信号
ipx : in std_logic_vector(Nx-1 downto 0);
.......
signal tempx : std_logic_vector(Ny-1 downto 0) := ipx(Nx-1 downto Nx-Ny); (Signal initialisation)
signal tempz : std_logic_vector(Ny-1 downto 0);
............
tempx <= (Ny-1 downto 1 => tempz(Ny-2 downto 0), 0 => ipx(a-1));
错误:错误(10381):VHDL类型不匹配ArrayDivider.vhd错误(53):索引名称返回值的类型与“std_ulogic”不匹配,目标表达式的类型 (tempx的最后一个代码行上的错误)
但是ipx和tempz都是std_logic向量,所以这里的类型不匹配? 请给我一些解决方案
我已经在使用连接操作符&也试过,但它给了我与顶级层次结构和“无法解决tempx [0]乘常数司机的另一个错误!!!! !
谢谢你的支持和你的兴趣来解决我的错误... :) 我试图拼接也......... 但是,这给了我2个错误.......... 。 >错误(10028):无法解析净> “tempx [0]” 在ArrayDivider.vhd(44)(上草签的线> tempx) >错误多个恒定的驱动:无法阐述顶级用户层次结构 – 2013-03-24 12:08:37