1
我有两个文件,master.vhd和slave.vhd,都合成没有错误或警告。我想创建一个结构顶层模块并连接它们。我正在使用Xilinx ISE 14.2。顶级模块VHDL没有输入和输出
我top.vhd文件看起来像这样:
library ieee;
use ieee.std_logic_1164.all;
entity top is
end top;
architecture structural of top is
signal reset, clk : std_logic;
signal req, ack, sig : std_logic;
begin
master : entity work.master_v10_zad1(rtl)
port map(
reset => reset,
clk => clk,
req => req,
ack => ack,
sig => sig
);
slave : entity work.slave_v10_zad1(rtl)
port map(
reset => reset,
clk => clk,
req => req,
ack => ack,
sig => sig
);
end structural;
当我不喜欢这样,我不能合成,并得到了很多类似的警告:警告:XST:647 - 输入从未使用。这个端口将被保留并保持未连接状态......等等等等
但是,如果我在顶层实体中添加一些不需要的端口,那么它会合成好,但我不需要额外的端口,它们只是混乱!
我的问题是如何将两个模块与顶层结构文件(或任何其他工作方式)连接起来并保持设计合成?