2015-05-14 269 views
0

我想在Virtex 7上使用赛灵思FFTv8.0内核计算一系列16位输入值的DFT变换,但我对理解数据表有些麻烦。Xilinx FFT v8.0内核示例testbench

更具体地说,我使用了一个标准的自动生成的测试平台(见下文),但输出始终为零。即使通过数据表和“Jim Wu的FPGA博客”(http://myfpgablog.blogspot.de/2010/07/fft-results-from-matlab-fft-bit.html)很多次,我仍然不知道如何使用它。我觉得我是在核心的多个输入/输出迷茫..

`timescale 1ns/1ps 

//////////////////////////////////////////////////////////////////////////////// 
// Company: 
// Engineer: 
// 
// Create Date: 14:25:20 05/14/2015 
// Design Name: fft_core 
// Module Name: C:/Users/Alberto/Documents/MEGA/Master II/Master Thesis/test_fft/fft_tb.v 
// Project Name: test_fft 
// Target Device: 
// Tool versions: 
// Description: 
// 
// Verilog Test Fixture created by ISE for module: fft_core 
// 
// Dependencies: 
// 
// Revision: 
// Revision 0.01 - File Created 
// Additional Comments: 
// 
//////////////////////////////////////////////////////////////////////////////// 

module fft_tb; 

    // Inputs 
    reg aclk; 
    reg s_axis_config_tvalid; 
    reg s_axis_data_tvalid; 
    reg s_axis_data_tlast; 
    reg m_axis_data_tready; 
    reg [7:0] s_axis_config_tdata; 
    reg [31:0] s_axis_data_tdata; 

    // Outputs 
    wire s_axis_config_tready; 
    wire s_axis_data_tready; 
    wire m_axis_data_tvalid; 
    wire m_axis_data_tlast; 
    wire event_frame_started; 
    wire event_tlast_unexpected; 
    wire event_tlast_missing; 
    wire event_status_channel_halt; 
    wire event_data_in_channel_halt; 
    wire event_data_out_channel_halt; 
    wire [31:0] m_axis_data_tdata; 

    // generate clk 
    always #5 aclk =! aclk; 

    // Instantiate the Unit Under Test (UUT) 
    fft_core uut (
     .aclk(aclk), 
     .s_axis_config_tvalid(s_axis_config_tvalid), 
     .s_axis_data_tvalid(s_axis_data_tvalid), 
     .s_axis_data_tlast(s_axis_data_tlast), 
     .m_axis_data_tready(m_axis_data_tready), 
     .s_axis_config_tready(s_axis_config_tready), 
     .s_axis_data_tready(s_axis_data_tready), 
     .m_axis_data_tvalid(m_axis_data_tvalid), 
     .m_axis_data_tlast(m_axis_data_tlast), 
     .event_frame_started(event_frame_started), 
     .event_tlast_unexpected(event_tlast_unexpected), 
     .event_tlast_missing(event_tlast_missing), 
     .event_status_channel_halt(event_status_channel_halt), 
     .event_data_in_channel_halt(event_data_in_channel_halt), 
     .event_data_out_channel_halt(event_data_out_channel_halt), 
     .s_axis_config_tdata(s_axis_config_tdata), 
     .s_axis_data_tdata(s_axis_data_tdata), 
     .m_axis_data_tdata(m_axis_data_tdata) 
    ); 

    initial begin 
     // Initialize Inputs 
     aclk = 0; 
     s_axis_config_tvalid = 0; 
     s_axis_data_tvalid = 0; 
     s_axis_data_tlast = 0; 
     m_axis_data_tready = 0; 
     s_axis_config_tdata = 0; 
     s_axis_data_tdata = 0; 

     // Wait 100 ns for global reset to finish 
     #150; 

     s_axis_config_tvalid = 1; 
     s_axis_data_tvalid = 1; 
     //s_axis_data_tlast = 1; 
     m_axis_data_tready = 1; 
     s_axis_config_tdata = 1; 
     s_axis_data_tdata = 1; 

     // Add stimulus here 

     // Some random inputs (just to understand how it works): 
     s_axis_config_tdata = 8'b00000001; // FFT desired (and not IFFT) 
     s_axis_data_tdata = 32'h00005678; // I have a real input signal, so the upper half (corresponding to the immaginary part) is zero 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001121; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001516; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001920; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001121; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001516; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001920; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001121; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001516; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001920; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001121; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001516; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001920; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001121; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001516; 
     #10; 
     s_axis_config_tdata = 8'b00000001; 
     s_axis_data_tdata = 32'h00001920; 
     #10; 


    end 

endmodule 

这里是波形和我使用的核心配置的一些截图(我还没有的权力,直接张贴): https://www.dropbox.com/s/0ejccc4dm6zdw7h/FFT.zip?dl=0

有没有人有解释或工作测试平台(可能写在Verilog中)处理数据与此ip核心?

谢谢你提前

回答

1

最后我有点解决了我的问题。核心在交付数据之前有巨大的延迟(几个我们)。 所以如果别人有同样的问题,不要犹豫,大大增加模拟时间,它可能会解决您的问题。