2013-05-13 59 views
2

我使用ghdl作为我的研究,因为几个月。ghdl不会产生二进制文件(windows)

现在我不得不使用windows并试图在那里使用ghdl和gtkwave。

我的问题是:我已经安装ghdl后,我试图编译一些代码。与:

ghdl -a aa.vhdl 
ghdl -a bb.vhdl 
... 
ghdl -e test 

同样我在linux中使用。

,但它不会产生蚂蚁输出除了work-obj93.cf

的,如果我有

ghdl -d 

列出一切似乎是罚款。所有执行没有任何错误消息,但我没有得到一个二进制或任何东西。

回答

0

参见ghdl用户指南3.1.2 Elaboration command

在GNU/Linux的制定命令创建包含的VHDL源代码,制定代码和仿真代码以执行设计层次的可执行程序。在Windows上,这个命令详细阐述了设计,但不会产生任何东西。

...

实际的改进方案是在运行时进行。

在Windows上,该命令可以被跳过,因为它也是由运行命令完成的。

另见1.3 What is GHDL?

GHDL的窗户(TM)版本不是基于GCC但在内部代码生成器。

称为mcode的内部代码生成器版本生成可执行代码作为内存数组,并且不生成目标文件。没有优化,也没有链接到外部对象代码。

+0

谢谢你。我现在开始工作了 – neu3no 2013-05-23 00:04:20