2017-04-15 146 views
0

我试图使用从uvm_object扩展的参数化类。UVM中的参数化类声明错误

class som_util #(int entry_w=2) extends uvm_object; 
`uvm_object_utils(som_util) 
"Some other static functions using the parameterized variables" 
endclass 

当我使用这个工具函数在IUS上运行我的测试时,它会编译并且整个测试也会通过。但是在VCS上,它用标识符“entry_w”没有定义呢?

可能的原因是什么?

回答

1

参数类的UVM必须使用uvm_object_param_utils宏如下注册:

`uvm_object_param_utils(som_util#(entry_w)) 

欲了解更多的背景,请参阅my discussion关于这一主题。