2013-03-15 86 views
1

我不设法添加graphviz(@dot)到我的doxygen记录的VHDL文件。 有人可以提供一些示例代码?Doxygen与Graphviz的文档VHDL

我想添加fsm图形表示到我的代码。

在此先感谢

回答

1

只写手动点文件:

digraph FSM { 
    RESET -> IDLE 
    IDLE -> CALC [label="data_in = '1'"] 
    CALC -> DONE 
    DONE -> IDLE 
} 
0
  1. --!评论;
  2. 开始与@dot,并停止与@enddot

实施例:

--! @dot 
--! digraph finite_state_machine { 
--!  rankdir=LR; 
--!  size="8,5" 
--!  node [shape = circle]; 
--!  S0 -> S1 [ label = "010" ] 
--!  S1 -> S0 [ label = "000" ] 
--!  S1 -> S2 [ label = "111" ] 
--!  S2 -> S0 [ label = "101" ] 
--! } 
--! @enddot