2016-07-25 82 views
-1

我需要从verilog中的半字节(半字节)半字节文件中读取数据。我知道我可以使用字符读取8位数据,但无论如何读取半字节? 我用文字阅读的代码是从verilog文件中读取一个半字节

reg [7:0] char1; 
integer read_file; 

initial begin 
    read_file = $fopen("D:\\signal.txt","rb"); 

    char1 = $fgetc(read_file);  // read a byte 
end 

还有什么阅读蚕食?

+1

只读取字节,然后将其分成2个半字节。 – toolic

回答

0

考虑$ fread。

reg [3:0] data; 
integer rtn_val; 
... 
rtn_val = $fread(data, read_file);