2015-07-20 222 views

回答

1

至少从Vivado 2014.2开始,任何无法识别的Tcl命令将被发送到OS shell执行,因此您可以简单地打开您喜欢的任何编辑器,就好像您不在Tcl shell中一样。它基本上为你自动运行exec。旧版本你可能必须自己运行exec。

用nedit file.vhd

0

Vivado是一个设计工具适用于项目,而不是单独的文件。所以要编辑一个文件,说xyz.vhd,该文件需要成为一个项目的一部分。这可以通过创建一个新项目通过Tcl控制台,添加xyz.vhd文件,然后加载项目。

  1. 使用以下命令创建一个新项目:

    project -new

  2. 添加文件:

    add_file -vhd "xyz.vhd"

  3. 保存项目并运行。

    project -save 
    project -run 
    

您可以在此找到link更多的资源。

相关问题