2016-08-11 58 views
0

我有一个简单的dut来测试。 输入是一个包,输出是完全相同的包。 因此,我不必预测输出,我可以比较输出事务(从监视器)到输入事务。 我应该从序列还是从驱动程序将输入事务写入记分板?我应该在哪里写输入交易到记分牌

回答

1

首选的uvm机制是在输入端口有一个监视器,它从输入总线(独立于驱动程序)收集数据并将其发送到记分板。这将使代理的重用性和可移植性成为可能。该代理稍后可以在被动模式下重新使用,并且作为监视器连接的记分板将以被动模式提供。 [驾驶员不在被动模式下,记分牌不能被重新使用]显示器也将独立验证驾驶员驾驶的协议。

如果您确定不想做额外的工作或计划在稍后生成显示器,则可以将分析端口添加到驱动程序并将事务从驱动程序发送到记分板。由于序列不是一个组件,并且会动态创建和销毁,因此不建议将记分板连接到序列。每次创建序列时,都需要重新建立与记分板的连接,因为在connect_phase期间启动时可以建立一次驱动器/监视器与记分板的连接。

假设您不能在输出端口重新使用显示器,因为输出协议与输入协议不同。