spartan

    0热度

    1回答

    我尝试添加我的整数信号并试图在我的段上解码它时出现问题。顺便说一句,我们的项目是尝试显示单击一个开关时递增的值。有3个开关(swA,swB,swC)。 最初,所有3段都是0,0,0 如果您点击switchA,它会显示= 1,0,0 然后如果您点击switchC,则会显示= 1,0,2 然后.. 如果你点击SwitchB时,它会显示= 1,3,2 然后.. 如果你点击SwitchC上,它会显示= 1

    0热度

    1回答

    我在某些定制硬件上遇到了Spartan 6(XC6SLX16-2CSG225I)和DDR(IS43R86400D)内存接口问题。我尝试过使用SP601开发板,并按预期工作。 使用示例项目,当启用soft_calibration时,它永远不会完成,并且calib_done保持低位。 如果我禁用校准,我可以尽我所能地完美地写入内存。但是当我尝试读取它时,在Xilinx存储器控制器停止执行命令之前,我会

    3热度

    1回答

    使用上升沿和下降沿的边缘,我一个FPGA & VHDL新手.. 我的开发环境如下。 FPGA:斯巴达6 XC6SLX9 编译器:ISE 14.04 模拟器:ISIM 我做一个简单的计数器,但也有一些事情我无法理解。 以下代码是我写的。我期望的是w_count在时钟的每个下降沿增加,当w_count在时钟的上升沿达到N_data时复位为0。在编译过程中没有问题,仿真也可以按照我的预期进行。但是,当应

    1热度

    1回答

    我正在开发Digilent Atlys上的一个小项目,并且在生成网表和比特流以及导出到SDK之后,我碰巧遇到一个奇怪的错误,其中指出xil_cache.h是不存在任何地方(即使它在那里)。 我需要提到的是,如果我不添加一个中断控制器和一个计时器它的工作,但我真的需要他们。 有没有人遇到过这个错误? 错误消息:下面设置环境变量后 08:24:21 **** Build of configuratio

    0热度

    1回答

    我正在使用vhdl来配置fpga板子的项目斯巴达3E。我必须做的是一个天才谜题,在我的主代码中有一个状态机来控制逻辑。 当我使用xilinx模拟器模拟代码,但是当我将.bit文件运行到FPGA板时,一切正常,会发生什么情况是序列的第一个指示灯会亮起然后熄灭,这会发生,我点击右键就停止工作,下一个序列从不显示。 当然有一个deboucing按钮的问题,这就是我使用一个计数器来防止repic bug系

    2热度

    3回答

    是否有任何正则表达式库,我可以使用CString的vc6? 需要开源/免费库

    0热度

    1回答

    在ISE 14.7上,为了让AXI流(具有循环值的ipcore)通过UART输出,我需要做些什么? 我已经正确设置了项目,添加了一个UART并设置了mhs,ucf文件和其余的富矿,但是我不知道我需要做什么才能通过AXI输出AXI UART。 任何想法?

    1热度

    1回答

    我想用两个TableCells来动态地创建一个带有单选按钮,文本框和按钮的表,每个行的表格都是唯一依赖于TableRow左边的问题。 到目前为止,我能够将问题添加到TableRow的左侧。现在,我很难填写正确的一面。 有人可以帮助我吗? 下面我有以下代码: private void DesignQuestionnaire(string[] questionList, Label question,

    -4热度

    2回答

    我遇到一个更多的困难,同时将fifo代码实例化到我的顶级模块。我想从我的串行端口(接收子系统)存储一些数据集,例如“欢迎来到FPGA的世界”,然后我想要找回它说按下fpga板上的按钮或FIFO已满时。我写了我的fifo代码和串行通信代码。想法是从键盘 - >接收子系统 - > FIFO - >传输子系统 - >超级终端发送的数据。目前我正在使用8位宽的fifo,并说28深,只是为了存储一些小数据。

    0热度

    1回答

    我使用RS232接口的参考分量从https://reference.digilentinc.com/reference/programmable-logic/nexys-2/start和示例代码是: ------------------------------------------------------------------------- -- main.vhd ------------